SR LATCH

 SR Latch

SR latch is also called as Set Reset Latch. This Latch effects the output as long as the Enable E is maintained at 1.



The circuit has two inputs S and R. The circuit has two outputs Q(t) and Q'(t). The upper NOR Gate has two inputs R and the complement of the present state, Q(t)' and produces the next state Q(t+1) when Enable E is 1.

Similarly the lower NOR Gate has two inputs S and present state Q(t) and produces the complement of the next state Q(t+1)' when Enable E is 1.

We know that a two-input NOR Gate produces an output, which is the complement of the other output when one of the input is 0. Similarly produces 0 output, when one of the input is 1.

  • When S is 1, the next state Q(t+1) has the value 1, irrespective of present state, Q(t) values.
  • When R is 1, the next state Q(t+1) has the value 0, irrespective of present state, Q(t) values.

At any time, both inputs cannot have the value 1, otherwise the value Q(t+1) is not defined.

Table of SR-Latch:



Comments

Popular posts from this blog

THREE LEVELS OF DATA INDEPENDENCE

Python-HackerRank Problem List Comprehensions

Python Problem Solving - Lonely Integer